OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 8236|回复: 14

Verilog HDL相关应用程序设计实例精讲和经典黑金资料(入门教程+实例精讲+百例设计)

[复制链接]

57

主题

64

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
370
金钱
370
注册时间
2018-12-26
在线时间
41 小时
发表于 2019-3-26 14:47:42 | 显示全部楼层 |阅读模式
Verilog HDL应用程序设计实例精讲
Verilog HDL经典黑金资料(入门教程+实例精讲+百例设计)
Verilog HDL应用程序设计实例精讲.zip (18.52 MB, 下载次数: 2061)
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

153

主题

311

帖子

0

精华

高级会员

Rank: 4

积分
673
金钱
673
注册时间
2019-3-26
在线时间
18 小时
发表于 2019-3-26 15:34:37 | 显示全部楼层
http://www.iis7.com/c/90/
回复 支持 反对

使用道具 举报

0

主题

308

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
335
金钱
335
注册时间
2019-3-26
在线时间
9 小时
发表于 2019-3-27 09:12:35 | 显示全部楼层
谢谢分享!
回复 支持 反对

使用道具 举报

0

主题

7

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
347
金钱
347
注册时间
2018-10-24
在线时间
37 小时
发表于 2019-3-27 21:51:06 | 显示全部楼层
帮大神先顶一下。
回复 支持 反对

使用道具 举报

0

主题

2

帖子

0

精华

初级会员

Rank: 2

积分
59
金钱
59
注册时间
2016-7-14
在线时间
15 小时
发表于 2019-4-3 11:14:00 | 显示全部楼层
好资料,感谢分享
回复 支持 反对

使用道具 举报

0

主题

5

帖子

0

精华

新手入门

积分
10
金钱
10
注册时间
2019-4-23
在线时间
3 小时
发表于 2019-4-23 21:45:01 | 显示全部楼层
xuhai07 发表于 2019-4-3 11:14
好资料,感谢分享

谢谢分享!
回复 支持 反对

使用道具 举报

0

主题

27

帖子

0

精华

初级会员

Rank: 2

积分
128
金钱
128
注册时间
2015-8-22
在线时间
20 小时
发表于 2019-7-24 20:41:13 | 显示全部楼层
先谢过了
回复 支持 反对

使用道具 举报

1

主题

234

帖子

0

精华

论坛元老

Rank: 8Rank: 8

积分
3218
金钱
3218
注册时间
2015-4-19
在线时间
356 小时
发表于 2019-7-25 09:30:58 | 显示全部楼层

谢谢分享!
回复 支持 反对

使用道具 举报

3

主题

19

帖子

0

精华

初级会员

Rank: 2

积分
183
金钱
183
注册时间
2013-8-23
在线时间
18 小时
发表于 2019-11-1 08:19:56 | 显示全部楼层
谢谢分享!
回复 支持 反对

使用道具 举报

7

主题

88

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
272
金钱
272
注册时间
2015-1-10
在线时间
68 小时
发表于 2019-12-18 17:15:18 | 显示全部楼层
感谢分享
回复 支持 反对

使用道具 举报

1

主题

6

帖子

0

精华

初级会员

Rank: 2

积分
121
金钱
121
注册时间
2019-12-19
在线时间
18 小时
发表于 2019-12-19 18:11:08 | 显示全部楼层
感谢分享!继续分享!
回复 支持 反对

使用道具 举报

0

主题

7

帖子

0

精华

高级会员

Rank: 4

积分
816
金钱
816
注册时间
2018-11-17
在线时间
51 小时
发表于 2019-12-20 15:01:43 | 显示全部楼层
感谢分享!继续分享!
回复 支持 反对

使用道具 举报

6

主题

13

帖子

0

精华

初级会员

Rank: 2

积分
117
金钱
117
注册时间
2019-8-3
在线时间
20 小时
发表于 2019-12-20 16:30:50 | 显示全部楼层
感谢分享。
回复 支持 反对

使用道具 举报

0

主题

8

帖子

0

精华

新手上路

积分
35
金钱
35
注册时间
2019-9-25
在线时间
6 小时
发表于 2020-1-9 15:35:32 | 显示全部楼层
感谢分享
回复 支持 反对

使用道具 举报

1

主题

50

帖子

0

精华

高级会员

Rank: 4

积分
764
金钱
764
注册时间
2012-7-19
在线时间
105 小时
发表于 2020-1-13 10:47:18 | 显示全部楼层
帮你顶一下帖子
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-4-20 09:12

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表