OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 4739|回复: 3

OLED方面的两个问题,急求原子哥!

[复制链接]

42

主题

173

帖子

0

精华

高级会员

Rank: 4

积分
548
金钱
548
注册时间
2013-6-18
在线时间
59 小时
发表于 2013-6-18 20:37:28 | 显示全部楼层 |阅读模式
            




上图是我根据你的函数画出的示意图,依照我的理解取模的图形在OLED显示是倒过来的,不知道怎么回事?
只为摆正你的倒影,我倾倒了整个世界。
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

558

主题

11万

帖子

34

精华

管理员

Rank: 12Rank: 12Rank: 12

积分
164883
金钱
164883
注册时间
2010-12-1
在线时间
2099 小时
发表于 2013-6-19 00:10:57 | 显示全部楼层
回复 支持 反对

使用道具 举报

42

主题

173

帖子

0

精华

高级会员

Rank: 4

积分
548
金钱
548
注册时间
2013-6-18
在线时间
59 小时
 楼主| 发表于 2013-6-19 09:28:40 | 显示全部楼层
回复【2楼】正点原子:
---------------------------------
实践是没有问题的,但是不知道哪里想错了!
只为摆正你的倒影,我倾倒了整个世界。
回复 支持 反对

使用道具 举报

558

主题

11万

帖子

34

精华

管理员

Rank: 12Rank: 12Rank: 12

积分
164883
金钱
164883
注册时间
2010-12-1
在线时间
2099 小时
发表于 2013-6-22 19:14:00 | 显示全部楼层
按你的想法,再去编一次程序.
不要参考我的代码,完全是你自己意识的体现,这样就能找到问题根源.
我是开源电子网www.openedv.com站长,有关站务问题请与我联系。
正点原子STM32开发板购买店铺http://openedv.taobao.com
正点原子官方微信公众平台,点击这里关注“正点原子”
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-5-21 05:02

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表